MIL-STD-1553 — Википедия

MIL-STD-1553 (MIL-STD-1553B) — стандарт Министерства обороны США, распространяется на магистральный последовательный интерфейс (МПИ) с централизованным управлением, применяемый в системе электронных модулей.

Принят в СССР в 1987 г. как ГОСТ 26765.52-87 и затем в РФ как ГОСТ Р 52070-2003 «Интерфейс магистральный последовательный системы электронных модулей».

Изначально разрабатывался по заказу МО США для использования в военной бортовой авионике, однако позднее спектр его применения существенно расширился, стандарт стал применяться и в гражданских системах.

Особенностью интерфейса является двойная избыточная линия передачи информации, полудуплексный протокол «команда-ответ» и до 31 удалённого абонента (оконечного устройства). Каждая линия управляется своим контроллером канала.

Стандарт устанавливает требования к:

  • составу технических средств интерфейса;
  • организации контроля передачи информации;
  • характеристикам линии передачи информации (ЛПИ);
  • характеристикам устройств интерфейса;
  • интерфейсу с резервированием.

Впервые опубликован в США как стандарт ВВС в 1973 году, применён на истребителе F-16. Принят в качестве стандарта НАТОSTANAG 3838 AVS. В новейших самолетах заменяется стандартом IEEE 1394b.

Модификации стандарта[править | править код]

ГОСТ Р 52070-2003, МКИО — российский аналог американского военного стандарта MIL-STD-1553 (MIL-STD-1553B).

Физический уровень[править | править код]

Одна шина состоит из пары проводов с волновым сопротивлением 70-85 Ом при частоте 1 МГц. В одном из вариантов соединения используется коаксиальный разъём, по центральному контакту которого передаётся сигнал, закодированный Манчестерским кодом, по экранному проводу проходит возвращение тока сигнала (коаксиал 70-85 Ом), еще есть третий проводник — наружная оплетка, которая является экраном линии. Принимающее и передающее оконечные устройства подключаются к шине с использованием трансформаторной развязки, а не задействованные подключения отделяются с использованием пары изолирующих резисторов, развязанных через трансформатор. Это уменьшает влияние короткого замыкания и добавляет уверенности, что ток шины не течёт по корпусу самолёта. Манчестерский код используется для того, чтобы передавать сигнал данных и сигнал синхронизации по одной паре проводников (или коаксиальному проводу), а также для исключения любых постоянных составляющих, задерживаемых трансформаторной развязкой. Тактируемая скорость (электрическая скорость) в канале составляет 1 Мбит/с. Допуск на погрешность и долговременный дрейф скорости тактовых импульсов составляет 0,1 %; краткосрочная стабильность скорости должна быть в пределе 0,01 %. Амплитуда входного напряжения передатчика должна составлять 18-27 В.

Надежность в системе передачи информации может быть достигнута за счёт использования двух или трёх независимых физических каналов (резервирования каналов), к которым подключены все устройства на шине. В случае отказа канала в текущий момент, резервирование канала предусматривает резервирование контроллера шины, проводника и оконечных устройств.

Также существует вторая версия стандарта, известная как MIL-STD-1773, в которой в качестве канала передачи информации используется оптоволокно, имеющее меньший вес и лучшие показатели по электромагнитной совместимости.

Аппаратная часть реализована в таких микросхемах, как 1986ВЕ1Т фирмы АО "ПКК "Миландр", 5559ИН13У2 фирмы ОАО "НПО "Физика" и др.[1]

В качестве элемента гальванической развязки и преобразования сигналов для МКИО применяются трансформаторы импульсные серии ТИЛ и их меньший аналог серии ТИС фирмы ПАО "МСТАТОР" приёмки "ВП" и "ОС" (Внесены в перечень ЭКБ Часть 12 Минпромторга России) на основе энергоэффективных аморфных / нанокристаллических сплавов серии АМАГ.

В статье Дмитрия Дайнеко "Разработка контроллера протокола MIL-STD‑1553B на ПЛИС" более подробно рассматривается выбор элементной базы, необходимой для конструирования устройства, способного обмениваться информацией по протоколу MIL-STD-1553B.

Используемый протокол[править | править код]

Каналы обмена информацией, выполненные по MIL-STD-1553B, имеют шинную организацию. Есть одна общая магистраль, а к ней, через гальваническую развязку, подключаются абоненты. Количество абонентов может достигать 31. Протокол предусматривает резервирование. То есть каждый из абонентов может быть подключен к двум каналам — основному и резервному, которые в иностранной литературе обозначаются как channel A и channel B соответственно.


Структура магистрали
Структура магистрали


Все абоненты на магистрали подразделяются на три вида:

  • КК — контроллер канала (контроллер шины). Центральное устройство системы. Отправляет командные слова (КС) и информационные данные остальным абонентам. На одной магистрали может быть только один КК.
  • ОУ — оконечное устройство. Одно из 31 периферийного устройства. Ожидает командные слова от КК, обрабатывает их и отдает ответное слово (ОС) обратно на КК. Каждый из ОУ имеет уникальный адрес разрядностью 5 бит
  • М — монитор. Нечто вроде отчетного устройства. Следит за информацией в канале. Собирает статистику и пр. Монитор — безадресное устройство и не выдает в магистраль никакой информации. В данном случае монитор можно сравнить с «черным ящиком» самолета, который записывает переговоры пилотов и показания датчиков.

Стандарт MIL-STD-1553B предусматривает возможность организации иерархической системы, то есть каждое из ОУ может быть «интеллектуальным», а значит, является контроллером канала со своими оконечными устройствами нижнего уровня.

Информация в мультиплексном канале передается с частотой 1 МГц словами по 20 бит (из них 4 бита служебные, 16 бит содержат пользовательские данные). Слова передаются пакетами. Количество слов в пакете может быть разным, в зависимости от вида пакета (мы рассмотрим это далее).

Необходимо отметить, что вся информация на магистрали передается в коде «Манчестер-2». Это означает, что наша цифровая система управления должна иметь в своем составе кодер и декодер этого кода.

«Манчестер-2» относится к самосинхронизирующимся кодам и имеет нулевую постоянную составляющую. Передача нулей и единиц определяется не уровнем, а переходом с уровня на уровень.

Передача логических нуля и единицы в коде «Манчестер-2»

Передача логических нуля и единицы в коде "Манчестер 2"
Передача логических нуля и единицы в коде "Манчестер 2"

Согласно стандарту этого протокола слова могут иметь три различных формата:

  • командное слово (КС);
  • информационное слово (ИС);
  • ответное слово (ОС).

Командное слово передается от контроллера канала оконечному устройству. Командное слово содержит в себе адрес ОУ (Address Remote Terminal, ADDR RT), которому предназначена информация, субадрес (sub-address, SUBADDR) и сколько именно слов (N) будет передано на это ОУ или принято с него. Бит приема-передачи (Write-Read, WR) говорит о том, в каком направлении будут передаваться последующие за командным словом информационные слова. Если WR = 0, контроллер канала передает данные на оконечное устройство. Если WR = 1, контроллер канала принимает данные от оконечного устройства.

Если командное слово содержит не субадрес, а признак команды (Command Indication, CI), то вместо количества слов передается команда (Command, COM).

Информационное слово содержит только данные разрядностью 16 бит и может передаваться как от контроллера канала к оконечному устройству, так и в обратном направлении. Что и понятно — информацию нужно передавать как на периферию, так и на центральную машину.

Ответное слово передается оконечным устройством на контроллер канала. Оно необходимо для подтверждения того, что периферия приняла пакет. Для того чтобы контроллер канала знал, от кого пришло ответное слово, в нем содержится адрес ОУ. Остальные биты несут служебную информацию:

  • A — признак ошибки в сообщении.
  • B — инструментальный бит.
  • C — запрос на обслуживание.
  • X — зарезервировано, может использоваться по усмотрению разработчика.
  • D — признак принятия групповой команды.
  • E — признак занятости абонента.
  • F — флаг неисправности абонента.
  • G — признак принятия управления каналом.
  • H — флаг неисправности.

В каждом из этих слов используется бит паритета (Parity, P). Бит паритета должен иметь такое значение, чтобы общее количество единиц в слове (за исключением синхросигнала) было нечетным. Если поле ADDR RT имеет значение «11111», то посылка адресована всем оконечным устройствам. Именно это объясняет то, что всего оконечных устройств может быть не 32, а 31.

Признак команды CI имеет значение «00000» или «11111». Все остальные значения — это субадрес (SUBADDR). Использование дополнительных команд управления позволяет, например, блокировать и разблокировать передатчик резервной линии. (Подробно об этом написано в стандарте.)

Следует упомянуть инструментальный бит (B). Использование инструментального бита позволяет мониторам отличать командные слова от ответных. Значит, при использовании этого бита количество возможных значений SUBADDR сокращается с 30 до 14. Если в поле «количество слов» N указана цифра 0, то имеется в виду 32 слова.[2]



Концептуальное описание[править | править код]

Рис. 1
Рис. 1

Типичная шина MIL-STD-1553B (см. Рис. 1) может состоять из

  • двух каналов (основного и резервного)
  • контроллера шины
  • оконечных устройств
  • монитора канала

Контроллер шины[править | править код]

На одной шине может быть всего один контроллер в текущий момент времени. Он является инициатором всех сообщений по этой шине.

Контроллер:

  • оперирует командами из списка в своей внутренней памяти
  • командует оконечным устройствам послать или принять сообщения
  • обслуживает запросы, получаемые от оконечных устройств
  • фиксирует и восстанавливает ошибки
  • поддерживает историю ошибок

Оконечные устройства[править | править код]

Оконечные устройства служат для

  • организации взаимодействия шины и подключаемой подсистемы
  • организации моста между двумя шинами

Монитор канала[править | править код]

Монитор канала отличается от оконечного устройства тем, что не может передавать сообщения по шине. Его роль заключается в мониторинге и записи транзакций по шине, без вмешательства во взаимодействие контроллера и оконечных устройств. Эта запись может быть использована для последующего анализа

См. также[править | править код]

  • MIL-STD-1760, модернизация 1553, добавившая проверку контрольных сумм по методу CRC
  • SpaceWire — телекоммуникационная сеть для космических аппаратов

Примечания[править | править код]

  1. Ермошин, Власов, Ануфриев. Комплексный подход к освоению интерфейсов ARINC-429 и МКИО // Компоненты и технологии : журнал. — 2015. — № 9. — С. 94—98. — ISSN 2079-6811. Архивировано 18 ноября 2017 года.
  2. Дайнеко Дмитрий. Разработка контроллера протокола MIL-STD‑1553B на ПЛИС. журнал. Компоненты и Технологии (2013 №12). Дата обращения: 31 июля 2019. Архивировано 31 июля 2019 года.

Литература[править | править код]

Ссылки[править | править код]