Synopsys

Synopsys, Inc.
Company typePublic
Industry
Founded1986; 39 years ago (1986), in Research Triangle Park, North Carolina, U.S.
Founders
Alberto Sangiovanni-Vincentelli
HeadquartersSunnyvale, California, U.S.
Key people
RevenueIncrease US$6.13 billion (2024)
Increase US$1.36 billion (2024)
Increase US$2.26 billion (2024)
Total assetsIncrease US$13.1 billion (2024)
Total equityIncrease US$8.99 billion (2024)
Number of employees
c. 33,000 (2025)
DivisionsSilicon Design & Verification, Silicon Intellectual Property, Software Integrity Group
Websitesynopsys.com
Footnotes / references
Financials as of October 31, 2024.[1]
Former headquarters in Mountain View, California

Synopsys, Inc. is an American multinational electronic design automation (EDA) company headquartered in Sunnyvale, California, that focuses on design and verification of silicon chips, electronic system-level design and verification, and reusable components (intellectual property). Synopsys supplies tools and services to the semiconductor design and manufacturing industry. Products include tools for implementation of digital and analog circuits, simulators, and debugging environments that assist in the design of chips and computer systems. In 2024, Synopsys was listed as the 12th largest software company in the world.[2]

History

[edit]

Synopsys was founded by Aart de Geus, David Gregory, Alberto Sangiovanni-Vincentelli and Bill Krieger in 1986 in Research Triangle Park, North Carolina. The company was initially established as Optimal Solutions with a charter to develop and market logic synthesis technology developed by the team at General Electric's Advanced Computer-Aided Engineering Group. The company changed its name to Synopsys and moved to Mountain View, California in 1987.[3] It became a public company through an initial public offering in February 1992, opening on NASDAQ at $18 a share and closing on the first trading day at $31.50. 2 million shares were offered; the company offered 1.55 million shares and stockholders offered 450,000 shares.[4][5]

In 2006, the company built a supercomputer for EDA applications using commodity Linux servers and off-the-shelf hardware that was listed on the TOP500 as the 242nd most powerful computer, based on results on the LINPACK benchmark.[6][7][8]

Synopsys has been a constituent of the Nasdaq-100 and S&P 500 indices since 2017.[9][10]

The integration of artificial intelligence (AI) has become increasingly important in electronic design automation (EDA), enabling notable advances in the automation, efficiency, and complexity of chip design processes. According to the company, Synopsys engineers began developing reinforcement learning applications for electronic design automation in 2017,[11] which led to the introduction of the DSO.ai (design-space optimization) product for digital chip implementation in 2020,[12] followed by a series of AI tools for IC verification and test, as well as other applications.[13] In 2023, Synopsys announced the Copilot product in collaboration with Microsoft that leveraged large language models from OpenAI to assist in chip design.[14] (For more information, see #Adoption of AI technologies.)

In August 2023, Synopsys named COO Sassine Ghazi as CEO. Ghazi succeeded Aart de Geus in January 2024, with de Geus transitioning to the role of executive chairman.[15][16]

Over the years, Synopsys has acquired numerous companies as a core part of its business strategy to expand its capabilities in electronic design automation, semiconductor IP, and related software sectors.[17] Industry analysts have noted that these acquisitions have enabled Synopsys to build a broad portfolio and remain competitive within the fast-evolving semiconductor and software industries.[17][18] (For more information, see #Mergers and acquisitions.)

On July 17, 2025, Synopsys completed its acquisition of Ansys, a global provider of engineering simulation software.[19][20] The transaction, first announced on January 16, 2024, was valued at approximately $35 billion,[21][18] making it the largest acquisition in Synopsys’ history. Several media outlets described the deal as one of the largest transactions in the engineering and software industry.[22]

Markets and competition

[edit]

Synopsys faces significant competition in the electronic design automation market, primarily from Cadence Design Systems and Siemens EDA (formerly Mentor Graphics), with the three companies collectively dominating approximately 75% of the global EDA market as of 2024.[23][24] Additionally, Synopsys is the second largest semiconductor design intellectual property (IP) company by revenue (after ARM Holdings) and leads in market share for IP license revenues,[25] notably providing reusable chip design modules such as those supporting Ethernet and UALink for advanced data center connectivity and AI accelerator infrastructure.[26]

Geographic presence

[edit]

Headquartered in Sunnyvale, California, Synopsys maintains extensive office locations worldwide, including a strong presence across North America, Asia, and Europe. In the United States, the company’s largest concentration of employees is in the San Francisco Bay Area, comprising more than 1,480 staff, with additional sizable clusters in Austin, Boston, Portland, and Hillsborough, with hundreds of additional employees. India serves as a critical hub, especially in Bengaluru, Noida, and Hyderabad, where Synopsys employs more than 570 people. As of 2025, Synopsys' workforce numbers approximately 33,000 worldwide, with thousands employees distributed across additional offices and remote locations, including significant operations in Canada, Mexico, Armenia, Singapore, and other regions.[27][28] Its international offices can be found in cities such as Ottawa, Toronto, and Vancouver (Canada); Yerevan and Gyumri (Armenia); Bangalore, Hyderabad, and Noida (India); Penang (Malaysia); and Singapore, among others.[28]

Synopsys operates on a global scale, with its business spread across several major regions and markets. The United States is the company’s largest market, contributing 44.71% of total revenue in fiscal year 2024 (approximately $2.74 billion). Other significant markets include China, which represented 16.15% of revenue ($989.52 million); Korea, accounting for 12.62% ($773.02 million); and Europe, with 10.03% ($614.58 million). The company also recorded 16.49% of its revenue ($1.01 billion) under the "Other Countries" category, highlighting a diversified international footprint.[29]

Business in the United States

[edit]

In addition to doing business with multiple American chip design and system integration companies, Synopsys maintains government contracts, which are often focused on research and development initiatives.

In 2020, the United States Department of Defense announced Synopsys as a partner in U.S. Defense Advanced Research Projects Agency (DARPA) Automatic Implementation of Secure Silicon (AISS) research program along with ARM, Boeing, IBM, and other entities focused on developing automated design tools for secure chips.[30][31] In October 2022, Synopsys joined Intel's US Military Aerospace and Government Alliance (USMAG), under which Synopsys supplies secure EDA tools, IP, and design services for the US DoD and other government branches along with other chip design companies including Cadence and Siemens EDA.[32][33] In 2025, DARPA announced companies that have been selected for the initial stage of the government’s Quantum Benchmarking Initiatives (QBI), in which Synopsys is participating along with Hewlett Packard, Applied Materials, University of Wisconsin and other organizations to advance quantum computing research and development. The company contributes its expertise in modeling and simulation, EDA tools and semiconductor IP, as part of this multi-partner effort to determine whether an industrially useful quantum computer can be built more rapidly than conventional projections suggest.[34][35]

Business in China

[edit]

In 2017, the company established a $100 million strategic investment fund for the Chinese market.[36]

In April 2021, following a Washington Post report on the use of Synopsys and Cadence Design Systems technology in the People's Liberation Army's military-civil fusion efforts,[37] U.S. legislators Michael McCaul and Tom Cotton requested that the United States Department of Commerce tighten controls on the sales of semiconductor manufacturing software.[38][39] In 2022, Bloomberg reported that Synopsys was under investigation by the United States Department of Commerce for unlawful technology transfers to sanctioned companies in China such as Huawei's HiSilicon and Semiconductor Manufacturing International Corporation.[40][41]

In May 2025, the Trump administration briefly paused the issuing of licenses for exports of airplane and semiconductor technology to China, which impacted Synopsys and other American Electronic Design Automation vendors.[42][43]

(For more information, see #Controversies and criticism.)

Offices in Armenia

[edit]

Synopsys Armenia is one of the largest Synopsys sites outside the United States, employing more than 1,000 people across two offices in Yerevan and one in Gyumri, making it one of the largest IT employers in the country.[44][45] The Armenian offices serve as a key research and development hub, providing support for electronic design automation (EDA), design for manufacturing (DFM), and semiconductor intellectual property (IP) solutions.[44] Synopsys Armenia’s teams work on advanced logic and analog integrated circuit design, software development, and verification, contributing both to global product support and core innovation.[46] In addition to technical work, Synopsys Armenia invests heavily in workforce development and professional education, collaborating with local universities to train highly skilled specialists in microelectronics and related fields.[44][46] This long-standing commitment has positioned Synopsys Armenia as a driving force for technological and educational advancement within Armenia.

Adoption of AI technologies

[edit]

The company's "Smart Everything Everywhere" strategic initiative, launched in the early 2010s, recognized the transformative potential of Artificial Intelligence in enabling pervasive intelligence across electronic systems.[47] This strategy envisioned the integration of intelligent capabilities into electronic design automation tools, positioning Synopsys to leverage AI for addressing the increasing complexity of semiconductor design challenges. The strategic commitment was formalized in 2017 when Synopsys engineers, inspired by Google's AlphaGo victory over Go master Lee Sedol in 2016, began developing reinforcement learning applications for electronic design automation with management approval intelligence-driven EDA solutions.[11]

In March 2020, Synopsys introduced DSO.ai (Design Space Optimization AI), a cloud-based AI-based software tool for chip design, The software uses AI (reinforcement learning) to automate logic synthesis as well as place and route decisions for circuit blocks.[48][12][49][50] The tool searches for optimization targets in large solution spaces of chip design to enhance power, performance, and area metrics.[51] By 2023, DSO.ai had been used in over 100 commercial tape-outs, with customers reporting productivity increases of more than 3x and power reductions of up to 15%.[52]

In March 2023, Synopsys expanded its artificial intelligence applications by introducing VSO.ai (Verification Space Optimization) and TSO.ai (Test Space Optimization) as part of the Synopsys.ai suite.[13][53][54] VSO.ai uses machine learning to accelerate verification coverage closure and identify functional coverage gaps, while TSO.ai applies reinforcement learning to optimize test pattern generation.[55] Renesas reported achieving up to 10x improvement in reducing functional coverage holes and up to 30% increase in IP verification productivity using VSO.ai.[56]

In November 2023, Synopsys launched Synopsys.ai Copilot through a collaboration with Microsoft, integrating Azure OpenAI service to provide generative artificial intelligence capabilities for semiconductor design via the use of large language models.[14][57] The Copilot technology enables natural language interaction across chip design workflows and aims to address the projected 15% to 30% semiconductor workforce gap by 2030.[57]

Mergers and acquisitions

[edit]

Synopsys has grown significantly by acquiring companies in electronic design automation and related fields.[58]

CoWare

[edit]

CoWare development was initiated by the Interuniversity Microelectronics Centre in Belgium as an internal project in 1992;[59] it spun off as an independent company, supplying platform-driven electronic system-level (ESL) design software and services, four years later.[60][61] CoWare was acquired by Synopsys in February 2010.[62][63]

Code Dx

[edit]

Code Dx was an American software technology company active from 2015 to 2021. The company's self-titled flagship product is a vulnerability management system that combines and correlates the results generated by a wide variety of static and dynamic testing tools. It was acquired by Synopsys in 2021.[64]

Avanti Corporation

[edit]

Avanti Corporation (styled as "Avant!") was founded when several former Cadence Design Systems employees bought the startup ArcSys, which had previously merged with Integrated Silicon Solutions (ISS),[65] gaining Avanti its design rule checking and layout versus schematic tool Hercules (including 3D silicon structure modeling). Avanti then bought Compass Design Automation, which had fully integrated IC design flow and ASIC libraries, especially its place and route tool, which Avanti reworked to create Saturn and Apollo II. Avanti also bought TMA (Technology Modeling Associates), which brought its pioneering TCAD and Proteus optical proximity correction tools. The acquisition of Avanti was Synopsys' most significant and controversial acquisition. At the time, Avanti was the No. 4 company in the EDA industry, and was struggling with a major lawsuit from Cadence for software theft.[66] Avanti was merged into Synopsys on June 6, 2002, during the litigation. Synopsys paid Cadence about $265 million to end that litigation. Soon after the settlement, the California Supreme Court upheld the lower court's earlier decision. Synopsys then paid $26.1 million to Silvaco to settle two of Silvaco's three lawsuits against Meta-Software, earlier purchased by Avanti, and its president. The lawsuits were filed in 1995 and inherited by Avanti.[67]

Magma Design Automation

[edit]

Synopsys agreed to buy its competitor Magma Design Automation for $507 million in an all-cash deal in November 2011.[68][69]

The two companies previously faced drawn-out, back-and-forth patent disputes, which began in 2004. These disputes arose when Synopsys claimed one of Magma's co-founders, Lukas van Ginneken, developed technology for Magma products that was based on work he conducted while employed at Synopsys. While van Ginneken later acknowledged the claim, Magma and Synopsys continued disputing each other's patents.[70] The litigations were eventually settled in 2007, with Magma paying Synopsys $12.5 million, and the companies agreeing to cross-license the disputed patents to each other.[71][72]

Ciranova

[edit]

Ciranova was an EDA company which focused on analog design automation. The company authored the Python-based PyCell software now central to IPL Alliance iPDK parameterized cells (used by many foundries such as TSMC), and also developed an automatic analog layout tools called Helix.[73] Ciranova was acquired by Synopsys in 2012.[74]

Novas Software

[edit]

Novas Software was a company founded in 1996 to address debugging of chip designs. Novas was purchased by Taiwan-based EDA company SpringSoft in May 2008. SpringSoft and Novas were acquired by Synopsys in 2012.[75]

Numerical Technologies

[edit]

Numerical Technologies, Inc. was a San Jose-based electronic design automation public (NASDAQ: NMTC) company. The company was primarily known for its intellectual property, software tools and services covering phase-shifting mask technology. On March 3, 2003, it was acquired by Synopsys for $250 million.[76][77]

SpringSoft

[edit]

SpringSoft is a software company that developed VLSI design and debugging software. The company was founded with a grant from the Taiwanese National Science Council in February 1996.

In 1997, SpringSoft established Novas Software in Silicon Valley to market Springsoft's VLSI Debugging software. SpringSoft created a custom layout tool called Laker and a US-based company called Silicon Canvas. In May 2008, SpringSoft purchased Novas Software Silicon Canvas and combined them to form the wholly owned subsidiary SpringSoft USA. SpringSoft employed over 400 people with office locations across the world.

Synopsys announced its acquisition of SpringSoft in 2012.[75]

Synplicity

[edit]

Synplicity Inc. was a supplier of software for the design of programmable logic devices (FPGAs, PLDs, and CPLDs) used for communications, military/aerospace, consumer, semiconductor, computer and other electronic systems. Synplicity's tools provided logic synthesis, physical synthesis, and verification functions for FPGA, FPGA-based ASIC prototyping, and DSP designers. Synplicity was listed on Nasdaq until it was acquired by Synopsys for $227 million in a transaction finalized on May 15, 2008.[78]

ARC International

[edit]

ARC International PLC was the designer of ARC (Argonaut RISC Core) embedded processors, which were widely used in SoC devices for IoT, storage, digital home, mobile, and automotive applications. Virage Logic, which acquired ARC International in 2009, was sold to Synopsys in 2010.[79][80]

Coverity

[edit]

In February 2014, Synopsys agreed to acquire static code analysis vendor Coverity for $375 million. Synopsys relied on Coverity's products for around ten years prior to the acquisition, helping improve software security by finding and fixing defects in software code before its release.[81][82]

Coverity's open-source Coverity Scan tool was hacked and used for cryptocurrency mining in February 2018. Synopsys took down the service for four weeks and confirmed the incident did not affect any of its corporate network and found no evidence of data abuse of its open-source users.[83]

Codenomicon

[edit]

In 2015 Synopsys acquired the Finnish developer of security software Codenomicon.[84] Codenomicon had itself previously acquired the Finnish software company Clarified Networks in 2011.[85]

Cigital

[edit]

Synopsys announced the acquisition of Cigital along with its 2015 spinoff Codiscope in November 2016. The suite of its software security products became part of Synopsys' software integrity group.[86][87]

QuantumWise

[edit]

In 2017 Synopsys acquired the atomic-scale modeling software company QuantumWise (former Atomistix), which provides tools for quantum-based and classical simulations in the field of material science.[88][89]

Black Duck Software

[edit]

Black Duck Software was a privately held company focused on automating the process of identifying and creating an inventory of open source code used in software applications, as well as detecting known security vulnerabilities and license compliance issues. It ran the Open Hub website. Black Duck Software was acquired by Synopsys in December 2017 for $565 million[90] and integrated into the Software Integrity Group (SIG)[91] until it was spun out.[92] In October 2024, the private equity firms Clearlake Capital and Francisco Partners completed the acquisition of the Synopsys Software Integrity Group (SIG) to reestablish Black Duck Software as an independent standalone application security company. The transaction, first announced in May 2024, was valued at up to $2.1 billion, including $475 million in cash.[93]

WhiteHat Security

[edit]

In April 2022, Synopsys announced the acquisition of WhiteHat Security for $330 million.[94] WhiteHat Security was founded in 2001 and provides application security as well as insights for DevOps teams.[94]

PikeTec

[edit]

In 2023, Synopsys completed the acquisition of PikeTec, a provider of verification and testing tools for automotive software.[95]

Intrinsic ID

[edit]

In March 2024, Synopsys announced it had acquired the Internet of things digital authentication company Intrinsic ID for an undisclosed amount.[96]

Ansys

[edit]

In January 2024, Synopsys announced its intention to acquire engineering software company Ansys for $35 billion.[97] That would expand Synopsys' prominence in simulation software and systems design for chip designers, automobiles, airplanes. The companies have had a research and development partnership since 2017.[16][98] In September 2024, Synopsys announced it would sell its optical systems division to Keysight Technologies to address regulatory concerns related to its proposed acquisition of Ansys.[99]

In January 2025, Synopsys announced that the European Commission had approved its proposed $35 Billion acquisition of Ansys in Phase 1, marking significant progress in obtaining regulatory clearances for the merger. The company also reported that the UK Competition and Markets Authority had provisionally accepted remedies for Phase 1 approval, and the waiting period under the U.S. Hart-Scott-Rodino Antitrust Improvements Act had expired. While actively working with the U.S. Federal Trade Commission (FTC) on proposed remedies review, Synopsys noted that China's State Administration for Market Regulation had officially accepted their merger filing. The acquisition aimed to address growing customer demands for integrated Electronic Design Automation and Simulation and Analysis software solutions.[100] The acquisition was completed on July 17, 2025.[101]

Controversies and criticism

[edit]

Export control investigations and restrictions

[edit]

Commerce Department investigation

[edit]

In 2022, reports emerged that the U.S. Department of Commerce was investigating Synopsys for possible violations of export control regulations concerning China. The investigation reportedly examined whether Synopsys, working with Chinese affiliates, provided chip designs and software to Huawei Technologies' HiSilicon unit for manufacture at Semiconductor Manufacturing International Corporation (SMIC).[102] Both Huawei and SMIC had been placed on the U.S. Entity List due to national security concerns. Synopsys disclosed in December 2021 that it had received a subpoena from the Bureau of Industry and Security requesting information about "transactions with certain Chinese entities". The company stated it believed it was in full compliance with all applicable regulations.[102]

2025 export restrictions

[edit]

In May 2025, in the context of trade negotiations with China, the US government briefly paused the issuing of licenses for exports of airplane and semiconductor technology to China, which impacted Synopsys and its competitors.[42][43] Synopsys suspended its financial guidance after receiving a letter from the Bureau of Industry and Security informing it of new export restrictions related to China.[103] According to an internal memo obtained by Reuters, Synopsys told staff in China to halt services and sales in the country and stop taking new orders to comply with the new restrictions, which took effect on May 29, 2025.[104] The restrictions broadly prohibited sales of Synopsys products and services to China, affecting all customers in the country. However, in July 2025, the U.S. government rescinded these export controls, allowing Synopsys and other electronic design automation companies to resume sales to China.[105]

Antitrust concerns

[edit]

The Federal Trade Commission required Synopsys to divest certain assets as part of its $35 billion acquisition of Ansys in 2025. The FTC alleged that the merger would harm competition in three markets: optical software tools, photonic software tools, and RTL power consumption analysis tools.[106] Under the proposed consent order, Synopsys agreed to divest its optical and photonic software tools, while Ansys divested its PowerArtist power consumption analysis tool.[106]

Workforce reductions

[edit]

Synopsys has conducted multiple rounds of layoffs in recent years. In January 2023, the company laid off over 100 employees in the Bay Area due to economic pressures and internal restructuring.[107] Employee discussions on workplace forums have indicated that layoffs have become an annual occurrence at the company, with some employees expressing concern about the pattern of workforce reductions.[107]

See also

[edit]

References

[edit]
  1. ^ "US SEC: Synopsys, Inc Form 10-K". U.S. Securities and Exchange Commission. December 19, 2024.
  2. ^ "The World's Biggest Public Companies, Software/Programming". Forbes. Retrieved May 15, 2025.
  3. ^ Pretz, Kathy (March 14, 2023). "The Maestro Behind Design-Software Behemoth Synopsys". IEEE Spectrum. ISSN 0018-9235. Archived from the original on March 21, 2023.
  4. ^ "Synopsys backed on first day of trading". United Press International. February 26, 1992. Archived from the original on April 28, 2023.
  5. ^ Smith, Scott S. (May 11, 2020). "Jazz Up Your Life With 'Pure Joy' Like The Synopsys CEO". Investor's Business Daily. ISSN 1061-2890. Archived from the original on November 5, 2020.
  6. ^ "Roll-your-own supercomputer revs EDA". EE Times. December 4, 2006. ISSN 0192-1541. Archived from the original on April 28, 2023.
  7. ^ "Top500 list - November 2006". Top500. November 2006. Archived from the original on April 28, 2023.
  8. ^ Edwards, Chris (April 8, 2009). "Death of the dinosaur". The Guardian. ISSN 1756-3224. Archived from the original on April 28, 2023.
  9. ^ Witkowski, Wallace (March 13, 2017). "Synopsys to be added to S&P 500". MarketWatch.
  10. ^ "Equity Market Insight from Nasdaq MID". Nasdaq. December 15, 2017.
  11. ^ a b "How AI Revolutionized EDA Tools and Silicon Chip Design". Synopsys. May 1, 2023. Retrieved July 26, 2025.
  12. ^ a b Nellis, Stephen (February 7, 2023). "STMicro leans on AI, cloud as chip designs become more complex". Reuters.
  13. ^ a b Nellis, Stephen (March 29, 2023). "Synopsys spreads AI throughout its chip design tools". Reuters.
  14. ^ a b "Synopsys Unveils New AI Tool for Chip Design". Barrons. Retrieved December 14, 2023.
  15. ^ "Synopsys names insider Ghazi as CEO, forecasts stronger fourth quarter". Reuters. Retrieved December 7, 2023.
  16. ^ a b Vinn, Milana; Sen, Anirban; Nellis, Stephen (January 16, 2024). "Synopsys seeks to acquire engineering software company Ansys-sources". Reuters. Retrieved January 24, 2024.
  17. ^ a b "Synopsys–Ansys Acquisition Implications". Skale Egenkapital. July 22, 2025.
  18. ^ a b "Synopsys to buy engineering software firm Ansys in $35 billion deal". Reuters. January 16, 2024.
  19. ^ "Synopsys Completes Acquisition of Ansys". Synopsys. July 17, 2025.
  20. ^ Chiappetta, Marco (July 18, 2025). "Synopsys Finalizes Ansys Acquisition To Enable Leading Simulation-Enhanced Design". Forbes.
  21. ^ "Synopsys to Acquire Ansys, Creating a Leader in Silicon to Systems Design Solutions". Ansys. January 16, 2024.
  22. ^ "Synopsys announced the acquisition of Ansys in a $35 billion transaction—the biggest tech deal of the year". 3D Printing Journal. January 17, 2024.
  23. ^ "Synopsys, Cadence Shares Surge After EDA Controls Lifted". Silicon. July 4, 2025. Retrieved July 28, 2025. US-based Synopsys, Cadence and Siemens EDA currently dominate the EDA market, with global share of 31 percent, 30 percent and 13 percent respectively in 2024, according to TrendForce figures.
  24. ^ "Taking Stock of the EDA Industry". Embedded. June 11, 2025. Retrieved July 28, 2025. According to the data shared by Griffin Securities at DAC 2024, the three companies' combined share of the total EDA revenues has increased from less than 75% in 2014 to more than 85% in 2023.
  25. ^ "Design IP Market Increased by All-time-high: 20% in 2024!". SemiWiki. July 25, 2025. Retrieved July 28, 2025. Synopsys is the clear #1 by IP license revenues with 32% market share in 2024, when ARM is #2 with 30%.
  26. ^ "Employee Data and Trends for Synopsys". Unify. July 11, 2025. Retrieved July 26, 2025.
  27. ^ a b "Synopsys Office Locations". Synopsys. July 21, 2025. Retrieved July 26, 2025.
  28. ^ "Synopsys Revenue Breakdown By Region". Bullfincher. January 1, 2024. Retrieved July 26, 2025.
  29. ^ "DARPA Picks Two Research Teams for 'Automatic Implementation of Secure Silicon' Program - ExecutiveBiz". executivebiz.com. May 28, 2020. Retrieved April 24, 2023.
  30. ^ Biesecker, Cal (June 1, 2020). "Northrop Grumman, Synopsys Teams Selected By DARPA To Boost Semiconductor Security". Defense Daily. Retrieved April 24, 2023.
  31. ^ Robinson, Dan. "Intel CEO says China chip technology controls are inevitable". www.theregister.com. Retrieved May 9, 2023.
  32. ^ Snider, Shane (October 24, 2022). "Intel Forges Alliance To Back National Security Effort". CRN. Retrieved May 9, 2023.
  33. ^ HP Labs Editorial (April 3, 2025). "HPE's Quantum Supercomputing Framework Selected for DARPA Quantum Benchmarking Initiative". HPE Community. Hewlett Packard Enterprise.
  34. ^ O'Shea, Dan (May 6, 2025). "Synopsys slides into design enablement role in quantum computing". Fierce Electronics.
  35. ^ "China aims to shake US grip on chip design tools". Nikkei Asia. November 25, 2020. Retrieved April 2, 2023.
  36. ^ Nakashima, Ellen; Shih, Gerry (April 9, 2021). "China builds advanced weapons systems using American chip technology". The Washington Post. ISSN 0190-8286. Retrieved April 2, 2023.
  37. ^ "McCaul, Cotton Ask Administration to Restrict Sale of Chip-Making Software to China". United States House Committee on Foreign Affairs. April 15, 2021. Retrieved April 2, 2023.
  38. ^ De Chant, Tim (April 16, 2021). "Congressmen ask Biden admin to keep chip design software away from China". Ars Technica. Retrieved April 2, 2023.
  39. ^ King, Ian; Leonard, Jenny (April 13, 2022). "Synopsys Probed on Allegations It Gave Tech to Huawei, SMIC". Bloomberg News. Retrieved April 13, 2022.
  40. ^ "Synopsys Says It Is Cooperating with US on China Sanction Probe". Bloomberg News. June 1, 2022. Retrieved September 11, 2022.
  41. ^ a b Swanson, Ana (May 28, 2025). "U.S. Pauses Exports of Airplane and Semiconductor Technology to China". The New York Times. ISSN 0362-4331. Retrieved May 29, 2025.
  42. ^ a b Hawkins, Mackenzie (July 2, 2025). "US Lifts Chip Design Software Curbs on China in Trade Deal". Bloomberg News. Retrieved July 3, 2025.
  43. ^ a b c "Synopsys Armenia". Synopsys. April 17, 2025. Retrieved July 26, 2025.
  44. ^ "SYNOPSYS ARMENIA - Yerevan - SPYUR". Spyur. December 17, 2024. Retrieved July 26, 2025.
  45. ^ a b "Synopsys Armenia: 20 Years of Investment in Growth and Education". EVN Report. December 18, 2024. Retrieved July 26, 2025.
  46. ^ "Evident In Its Recent Earnings That Synopsys Reaping The Rewards Of Its Long-Term AI Investments". Moor Insights & Strategy. September 5, 2023. Retrieved July 26, 2025.
  47. ^ Knight, Will (August 13, 2021). "Samsung Has Its Own AI-Designed Chip. Soon, Others Will Too". Wired.
  48. ^ Clark, Don (May 7, 2021). "Despite Chip Shortage, Chip Innovation Is Booming". The New York Times.
  49. ^ "Synopsys Advances State-of-the-Art in Electronic Design with Revolutionary Artificial Intelligence Technology". PR Newswire. March 11, 2020. Retrieved July 26, 2025.
  50. ^ "DSO.ai: AI-Driven Design Applications". Synopsys. May 1, 2025. Retrieved July 26, 2025.
  51. ^ "AI-designed Chips Reach Scale with First 100 Commercial Tape-Outs Using Synopsys Technology". PR Newswire. February 7, 2023. Retrieved July 26, 2025.
  52. ^ Shilov, Anton (March 30, 2023). "Synopsys Intros AI-Powered EDA Suite to Accelerate Chip Design and Cut Costs". AnandTech.
  53. ^ "Synopsys.ai Unveiled as Industry's First Full-Stack, AI-Driven EDA Suite for Chipmakers". Stock Titan. March 29, 2023. Retrieved July 26, 2025.
  54. ^ "Synopsys adds AI to its verification and test tools". eeNews Europe. March 30, 2023. Retrieved July 26, 2025.
  55. ^ "VSO.ai: Industry-Leading AI-Driven Verification Solution for Faster Coverage Closure". Synopsys. July 24, 2025. Retrieved July 26, 2025.
  56. ^ a b "Synopsys Announces Synopsys.ai Copilot, Breakthrough GenAI Capability to Accelerate Chip Design". PR Newswire. November 15, 2023. Retrieved July 26, 2025.
  57. ^ "Strategic Acquisitions". www.synopsys.com. Archived from the original on June 7, 2019. Retrieved February 3, 2020.
  58. ^ Verkest, D.; Rompaey, K.; Bolsens, I.; Man, H. (October 1996). "CoWare—A design environment for heterogeneous hardware/software systems". Design Automation for Embedded Systems. 1 (4): 357–386. CiteSeerX 10.1.1.15.2686. doi:10.1007/BF00209910.
  59. ^ "imec spin-offs". IMEC. Retrieved March 23, 2023.
  60. ^ Clarke, Peter (March 7, 2005). "CoWare joins IMEC multimedia development project". Electronic Design News.
  61. ^ "Synopsys to acquire CoWare". American City Business Journals. February 8, 2010.
  62. ^ "Synopsys to Acquire CoWare, Inc" (Press release). Synopsys. February 8, 2010. Retrieved August 10, 2022.
  63. ^ Riley, Duncan (June 8, 2021). "Synopsys snaps up Code Dx for consolidated risk reporting across software vulnerability data". SiliconAngle.
  64. ^ Morrison, Gale (June 19, 2000). "Hsu Stays in Taiwan for DAC". Electronic Design News. Archived from the original on April 22, 2021.
  65. ^ "The Avant! Saga: Does Crime Pay? The inside story of a company that stole software code". Bloomberg Businessweek. September 2, 2001. Archived from the original on August 22, 2017.
  66. ^ "Synopsys Form 8K/A July 26, 2002" (PDF). Archived (PDF) from the original on October 24, 2020. Retrieved March 6, 2021.
  67. ^ Musil, Steven (November 30, 2011). "Synopsys to buy rival Magma for $507 million". CNET.
  68. ^ Clark, Don (December 1, 2011). "Synopsys Deal Will Narrow Chip Field". The Wall Street Journal.
  69. ^ "Co-founder's admissions roil Magma". EE Times. April 18, 2005.
  70. ^ McGrath, Dylan (March 30, 2007). "Patent resolution removes cloud over Magma". EE Times.
  71. ^ Deffree, Suzanne (December 1, 2011). "Synopsys to buy Magma for $507 million". Electronic Design.
  72. ^ "Ciranova's labours bear analog layout fruit". EE Times. June 2, 2008.
  73. ^ "Synopsys Acquires Ciranova". Synopsys. July 30, 2012.
  74. ^ a b Primack, Dan. "M&A: August 3, 2012". Finance.fortune.cnn.com. Archived from the original on October 6, 2012. Retrieved August 3, 2012.
  75. ^ Prince, Marcelo (January 13, 2003). "Synopsys Agrees to Acquire Numerical for $250 Million". The Wall Street Journal.
  76. ^ Clarke, Peter (March 23, 2003). "Single-transistor SOI memory firm raises $6 million". EE Times.
  77. ^ Ann Steffora Mutschler (March 20, 2008). "Synopsys buys Synplicity for $227M". EDN. Archived from the original on February 27, 2017. Retrieved January 17, 2015.
  78. ^ Happich, Julien (November 9, 2009). "Virage Logic completes acquisition of ARC International". EE Times.
  79. ^ Phadke, Manasi (June 10, 2010). "Synopsys to buy Virage Logic for $315 mln". Reuters.
  80. ^ Schubarth, Cromwell (February 20, 2014). "Synopsys buys Coverity for $375M to improve software quality, security". American City Business Journals.
  81. ^ Prior, Anna (February 19, 2014). "Synopsys Posts 3.2% Drop in Profit". The Wall Street Journal.
  82. ^ Leyden, John (March 19, 2018). "Coverity Scan code checker's systems crypto-jacked to run cheeky mining op". The Register.
  83. ^ "Synopsys to Acquire Software Security Company Codenomicon" (Press release). Synopsys. April 20, 2015. Retrieved September 12, 2024.
  84. ^ >"Codenomicon acquires Clarified Networks" (Press release). BusinessWire. May 23, 2011. Retrieved September 12, 2024.
  85. ^ "Synopsys to Buy LLR-Backed Cigital". The Wall Street Journal. November 8, 2016.
  86. ^ Leyden, John (November 8, 2016). "Synopsys buys Cigital in secure DevOps push". The Register.
  87. ^ "Synopsys strengthens design-technology co-optimization solution with acquisition of QuantumWise". Reuters. September 18, 2017.
  88. ^ "Synopsys (SNPS) Buys QuantumWise, Grows through Acquisitions". Yahoo Finance. Retrieved March 10, 2023.
  89. ^ Loizos, Connie (November 3, 2017). "Fifteen-year-old Black Duck Software gets its exit, selling to Synopsys for $565 million". TechCrunch. Retrieved December 7, 2022.
  90. ^ "Software security vendor Synopsys acquires Black Duck for $565 million". CISOMAG. November 6, 2017. Retrieved November 11, 2024.
  91. ^ "Synopsys Software Integrity Group Rebrands as Black Duck – A New Era in Application Security". IT Security Guru. October 1, 2024. Retrieved November 11, 2024.
  92. ^ "Clearlake and Francisco Partners Complete Acquisition of Black Duck Software, Formerly Known as Synopsys Software Integrity Group" (Press release). Santa Monica and San Francisco, CA: PR Newswire. Lambert and Sloane & Co. October 1, 2024. Retrieved November 11, 2024.
  93. ^ a b Haranas, Mark (April 28, 2022). "Synopsys Buying SaaS Security Company WhiteHat For $330 million". CRN.
  94. ^ "Synopsys completes acquisition of PikeTec car testing software firm". VentureBeat. Retrieved December 14, 2023.
  95. ^ "Synopsys expands IP portfolio with acquisition of Intrinsic ID". evertiq.com. 2024. Retrieved March 21, 2024.
  96. ^ Nellis, Stephen. "Synopsys says new tools help design cars, data centers faster". Reuters. Retrieved April 8, 2024.
  97. ^ Fitch, Asa; Glickman, Ben (January 16, 2024). "Synopsys to Acquire Ansys in $35 Billion Deal". The Wall Street Journal. Retrieved January 16, 2024.
  98. ^ Flaherty, Nick (September 20, 2024). "Synopsys to sell Optical Solutions Group to Keysight as part of Ansys deal". eeNews Europe.
  99. ^ StockTitan (January 10, 2025). "Synopsys-Ansys Merger Gains EU Approval, Clearing Major Regulatory Hurdle for $35B Deal". www.stocktitan.net. Retrieved January 12, 2025.
  100. ^ Synopsys (July 17, 2025). "Synopsys Completes Acquisition of Ansys". news.synopsys.com. Retrieved July 24, 2025.
  101. ^ a b "Commerce Investigating Synopsys for Export Control Violations, Report Says". Communications Daily. April 15, 2022. Retrieved July 26, 2025.
  102. ^ "Synopsys Issues Statement in Connection with BIS Letter". PR Newswire. May 29, 2025. Retrieved July 26, 2025.
  103. ^ "Exclusive: Synopsys halts China sales due to US export restrictions, internal memo shows". Reuters. May 30, 2025. Retrieved July 26, 2025.
  104. ^ "U.S. lifts chip software curbs on China amid trade truce". CNBC. July 3, 2025. Retrieved July 26, 2025.
  105. ^ a b "FTC to Require Synopsys and Ansys to Divest Assets to Proceed with Merger". Federal Trade Commission. June 17, 2025. Retrieved July 26, 2025.
  106. ^ a b "Synopsys Layoffs: What Happened & Why?". Sunset. January 27, 2023. Retrieved July 26, 2025.
[edit]
  • Official website Edit this at Wikidata
  • Business data for Synopsys, Inc.: